Home » Post » FPGA » Vivado Verilog blink 코딩해보기

Vivado Verilog blink 코딩해보기

Vivado Verilog blink 코딩해보기

Vivado 설치 및 기초 환경 설정을 못했다면 이전 포스팅을 참고해주길 바랍니다.

일단 코딩을 해봐야하니까 비바도를 켜본다.

Create Project를 눌러본다.

RTL Project를 만든다.

Create File을 누른 뒤, blink를 입력해 추가해준다.

Constraints에서는 보드 파일을 추가해줘야한다. 디질런트 사이트에서 다운 받을 수 있다.

[xdc 보드 파일 다운받기]

다운받고, 추가해주면 아래와 같을 것이다.

그 다음 보드를 추가해준다.

만약 사용하는 보드가 검색이 안된다면 이전 포스팅을 다시 확인해주길 바란다.

클럭이랑 LED를 추가해줘야한다. 아래처럼 입력하면 될 것이다.

4개의 LED가 1초마다 1씩 증가하게 하는 코드를 짜면 아래와 같을 것이다.

#수정, 오실레이터가 100Mhz인줄 알았는데, 125Mhz라서 1초로 수정한다면 count가 125000000로 수정해야 할 것이다.

이렇게 작성한 다음에 xdc파일을 만져야한다. Constraints 폴더의 xdc파일을 열어서 사용하는 핀을 uncomments 해야한다.

사용하는 핀을 주석 해제한다.

그 다음에 좌측을 Generate Bitstream을 눌러서 비트스트림을 생성하고 프로그램 해주면된다.

그러면 아래처럼 동작하는 것을 볼 수 있다.

스위치까지 만져보자. 코드를 아래처럼 수정하고 xdc파일에서 sw를 uncomments하면 된다.

위처럼 구성해놓고 다시 플래싱한다면, 카운터 1초마다 led가 증가하고, sw를 올린 부위는 항상 led가 켜져 있는 것을 확인할 수있다.

댓글 남기기

이 사이트는 스팸을 줄이는 아키스밋을 사용합니다. 댓글이 어떻게 처리되는지 알아보십시오.