Home » Vivado

Vivado

VITIS HLS 찍먹 해보기 – 기초 드라이버 작성해보기

목차 INTRO HLS로 만든 IP로 FPGA를 돌려보자. 비바도 프로젝트를 실행해서 IP를 직접 연결해보는 것부터 시작이다.연결 후, 드라이버 코드를 작성해서 제어까지 한번 해보는 것이 이번 포스팅의 목표이다. VIVADO 프로젝트 대부분은 “Vivado UART 모듈 설계하기“포스팅과 비슷하다. 따라서 여기선 IP 읽어오는 것만 설정하려고 한다. 좌측에서 보면 project manager에 setting이 있다. 클릭한다. 설계한 ip를 import하고 ok한다. 그리고 위 그림처럼 […]

VITIS HLS 찍먹 해보기 – 기초 드라이버 작성해보기 Read More »

VITIS HLS 찍먹 해보기 – 기초 코드 이해해보기

목차 VITIS HLS 찍먹 해보기 – AXI 기초지식 편 VITIS HLS 찍먹 해보기 – 기초 코드 이해해보기 (현재 포스팅) VITIS HLS 찍먹 해보기 – 기초 드라이버 작성해보기 INTRO 지난번 포스팅에서 AXI Lite의 기초에 대해 알아보았다.이번에는 HLS 코드를 바탕으로 Waveform을 한번 찍먹해보자.VITIS HLS에 대한 설명은 여기 가서 찍먹해보길 바란다. Vitis HLS 코드 찍먹해보기 이번에 선택한 예제는

VITIS HLS 찍먹 해보기 – 기초 코드 이해해보기 Read More »

VITIS HLS 찍먹 해보기 – AXI 기초지식 편

목차 VITIS HLS 찍먹 해보기 – AXI 기초지식 편(현재 포스팅) VITIS HLS 찍먹 해보기 – 기초 코드 이해해보기 VITIS HLS 찍먹 해보기 – 기초 드라이버 작성해보기 INTRO Vivado가 Vitis로 바뀐지 한 세월이 지났지만, 귀찮아서 FPGA는 손 대지도 않았다. 이번 프로젝트는 AXI Bus에 대해 이해해보고 간단한 Simple Adder를 구성해서 어떻게 검증하는지, waveform은 어떻게 되는지를 알아볼 것이다.

VITIS HLS 찍먹 해보기 – AXI 기초지식 편 Read More »

Xilinx Zynq RTL Firmware Code 짜보기

Xilinx Zynq RTL Firmware Code 짜보기 목차 UART verilog Testbench 살펴보기 UART Tx Verilog Module 살펴보기 UART Rx Verilog Module 살펴보기 APB Bus 살펴보기 APB Register 설계하기 Vivado UART 모듈 설정 하기 Xilinx Zynq Firmware Code 짜보기(현재 포스팅) 이번 포스팅은 부록 같은 느낌으로 작성한다. Xilinx Zynq RTL Firmware Code 짜보기 지난번 포스팅 화면에서 Launch SDK를

Xilinx Zynq RTL Firmware Code 짜보기 Read More »

Vivado UART 모듈 설계하기

Vivado UART 모듈 설정하기 목차 UART verilog Testbench 살펴보기 UART Tx Verilog Module 살펴보기 UART Rx Verilog Module 살펴보기 APB Bus 살펴보기 APB Register 설계하기 Vivado UART 모듈 설정 하기(현재 포스팅) Xilinx Zynq Firmware Code 짜보기 이전까지 설계한 UART 모듈을 FPGA로 구현해서 돌려보는 것을 해볼 것이다. 코드는 깃 허브에 업로드 되어있다. 설계한 것들이 실제로 FPGA

Vivado UART 모듈 설계하기 Read More »

Vivado Verilog blink 코딩해보기

Vivado Verilog blink 코딩해보기 Vivado 설치 및 기초 환경 설정을 못했다면 이전 포스팅을 참고해주길 바랍니다. 일단 코딩을 해봐야하니까 비바도를 켜본다. Create Project를 눌러본다. RTL Project를 만든다. Create File을 누른 뒤, blink를 입력해 추가해준다. Constraints에서는 보드 파일을 추가해줘야한다. 디질런트 사이트에서 다운 받을 수 있다. [xdc 보드 파일 다운받기] 다운받고, 추가해주면 아래와 같을 것이다. 그 다음 보드를

Vivado Verilog blink 코딩해보기 Read More »