Home » verilog

verilog

UART Rx Verilog Module 살펴보기

UART Rx Verilog Module 살펴보기 프로젝트 목차 UART verilog Testbench 살펴보기 UART Tx Verilog Module 살펴보기 UART Rx Verilog Module 살펴보기(현재 포스팅) APB Bus 살펴보기 APB Register 설계하기 Vivado UART 모듈 설정 하기 Xilinx Zynq Firmware Code 짜보기 이번에는 Rx 모듈 편이다. 테스트벤치 상 구현되어 있는 내용을 간단하게만 보면 아래와 같다. 이번 포스팅에서는 각 모듈을 […]

UART Rx Verilog Module 살펴보기 Read More »

UART Tx Verilog Module 살펴보기

UART Tx Verilog Module 살펴보기 프로젝트 목차 지난번 포스트에서는 하위 모듈이 잘 설계되었다고 가정하고 테스트 벤치를 살펴보았다. 간단하게만 보면 아래와 같다. 이번 포스팅에서는 각 모듈을 살펴보기로 한다.이번에도 참고할 코드는 깃허브에 있다. UART Tx Verilog Module 먼저 송신단부터 확인해보자. input으로는 리셋, 클럭, 데이터 valid, 송신할 데이터output으로는 TX ACTIVE, Serial 데이터, 완료 신호이다. TX ACTIVE라인은 Serial이 동작

UART Tx Verilog Module 살펴보기 Read More »

Verilog Study 자료 공유 안내

안녕하세요! Verilog Study 자료를 공유드립니다. https://github.com/dk-min/verilog_study 아래는 Read Me 파일을 복붙했습니다.비바도로 돌려보기는 너무 헤비하고.. vscode로 간단하게 테스트 해볼 수 있게 작성했습니다.(일부 제외)자료는 이전에 강의로 들었던 교재를 참고했고, VGA Test Pattern Generator의 경우 vivado를 이용해서 zynq 보드로 테스트했습니다.질문 있으시면 댓글이나 페이스북 메세지를 이용해주세요! 감사합니다. Verilog Study Project Verilog를 공부하고 테스트하기 목적으로 작성한 프로젝트입니다. 개발환경 WINDOWS 10

Verilog Study 자료 공유 안내 Read More »

Vivado Verilog blink 코딩해보기

Vivado Verilog blink 코딩해보기 Vivado 설치 및 기초 환경 설정을 못했다면 이전 포스팅을 참고해주길 바랍니다. 일단 코딩을 해봐야하니까 비바도를 켜본다. Create Project를 눌러본다. RTL Project를 만든다. Create File을 누른 뒤, blink를 입력해 추가해준다. Constraints에서는 보드 파일을 추가해줘야한다. 디질런트 사이트에서 다운 받을 수 있다. [xdc 보드 파일 다운받기] 다운받고, 추가해주면 아래와 같을 것이다. 그 다음 보드를

Vivado Verilog blink 코딩해보기 Read More »